CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - adder verilog

搜索资源列表

  1. adder

    0下载:
  2. 基于ALTERA 公司cyclone系列FPGA的程序,verilog 实现加法器
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:210387
    • 提供者:陶德杰
  1. cla_src

    0下载:
  2. carry lookahead adder verilog program
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1575
    • 提供者:heyong
  1. adder

    0下载:
  2. 加法器(使用verilog编写的),虽然简单,但是这也是学习verilog最基础的东西!希望大家一起学习!-The accumulator (uses the verilog compilation), although it is simple, but this also is studies most foundation of the verilog! Hopes everybody studies together!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:134368
    • 提供者:赵怀广
  1. add.rar

    0下载:
  2. 流水线乘法器与加法器 开发环境:Modelsim(verilog hdl),Multiplier and adder pipeline development environment: Modelsim (verilog hdl)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1340
    • 提供者:来法旧佛
  1. Verilog

    0下载:
  2. 一些用verilog编写的小程序,有全加器,计数器,比较器VGA显示,键盘扫描等-Some small programs written using verilog have full adder, counter, comparator VGA display, keyboard scanning, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8904220
    • 提供者:于苏
  1. Div3

    0下载:
  2. 一个除3器的Verilog源码,用于视频解码器的熵解码部分。纯组合逻辑,大小和加法器差不多。-In addition to device a Verilog source code 3, the video decoder for entropy decoding part. Pure combinational logic, about the size and adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:106400
    • 提供者:闫煜
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. 4bit_buma_adder

    0下载:
  2. Verilog作业 :自己写的源码输入,补码输出的,由状态机控制的四位加法器,为保证时序,加法器模块为超前近位加法器,包含测试台,通过 Modelsim 、Synplify仿真。-Verilog operation: the source code to write their own input, complementary code output by the state machine to control the four adder, in order to ensure timing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2322
    • 提供者:wizard
  1. VDHL

    0下载:
  2. Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:113548
    • 提供者:何柳
  1. add

    0下载:
  2. Verilog hdl语言 常用加法器设计,可使用modelsim进行仿真-Verilog hdl language commonly used adder design, can use the ModelSim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1885
    • 提供者:许立宾
  1. adder4

    0下载:
  2. 是用verilog写得加法器以及计数器里面有测试文件(testbench),对于初学者来说这个可以用来参考下-Is written in Verilog adder and counter inside a test file (testbench), for beginners this can be used to reference the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1386
    • 提供者:olive
  1. fir_parall

    0下载:
  2. 基于verilog的fir滤波器设计,用的并行结构。在前面基础上加入四级流水(加法器,并行乘法器,乘法结果相加两级),通过验证。-Verilog-based design of fir filter using the parallel architecture. In front of the basis of adding four water (adder, parallel multiplier, multiply the result of the sum of two), throu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3333
    • 提供者:张堃
  1. verilog

    0下载:
  2. 里面包含了多个verilog源代码例子 包括循环码编解码、加法器等等常用的例子 -Which contains a number of Verilog source code examples include the cyclic code coding and decoding, and so on commonly used adder example
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:224044
    • 提供者:高明
  1. bitadder

    0下载:
  2. 一位全加器,VERILOG实现,包括测试文件,测试可用,欢迎下载,共同学习-A full adder, VERILOG implementation, including test papers, test available, please download, a common study
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1185
    • 提供者:wangdali
  1. adder

    0下载:
  2. 一个verilog的源码程序,用于加法器实验程序-A source of verilog procedures, experimental procedures for the adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:68257
    • 提供者:PUDN_CHEN
  1. 8bit_adder_AND_4x4_Multiplier

    0下载:
  2. 位加法器的verilog程序与4×4 乘法器的verilog描述-Verilog-bit adder of the procedures and 4 × 4 multiplier verilog descr iption! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:865
    • 提供者:mhb
  1. Common_adder_verilog_design

    0下载:
  2. 上传文件为:常用加法器verilog设计.rar-Upload files as follows: common adder verilog design. Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1675
    • 提供者:海天之洲
  1. alu

    0下载:
  2. 加法器FPGA 实现,精简,快速,高效,有仿真文件-adder base on FPGA ,verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:546
    • 提供者:lijiaming
  1. AdderSubtractor

    0下载:
  2. 4-Bit Adder Subtractor Verilog Code. (Complete project)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:306563
    • 提供者:gunkaragoz
  1. save_adder

    0下载:
  2. implement of carry save adder with verilog
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-06
    • 文件大小:1451802
    • 提供者:shabnam
« 1 2 34 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com